hashend の回答履歴
- SH7144マイコンにAD変換器AD9244を接続して加速度センサから
SH7144マイコンにAD変換器AD9244を接続して加速度センサからの値を読み込むことは可能でしょうか?
- 締切済み
- その他([技術者向] コンピューター)
- uoyscob
- 回答数1
- VHDLについてですが何がおかしいのでしょう?
VHDLについてですが何がおかしいのでしょう? 下記をSynthesize実行すると「 Line 22. rol can not have such operands in this context.」という表示がでます。どういうことなのでしょうか?ソースは本のサンプルどおりのはずなのですが・・。(VHDLとCPLDによるロジック設計入門)という本の126Pのリスト6.12です。 CPLDでザイリンクスWebpackV6.31を使っています。 ===================== library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity shift2 is port( SW : in unsigned(1 to 4); SW2,SW3 : in std_logic; LED : out unsigned(5 downto 2)); end shift2; architecture RTL of shift2 is signal S : integer; begin S <= CONV_INTEGER( not (SW3 & SW2)); LED <= SW rol S; ------------------------------Line22 end RTL;
- ベストアンサー
- その他(プログラミング・開発)
- poyo3
- 回答数1
- Nゲージの自作室内灯が異常に発熱します!
Nゲージの自作室内灯が異常に発熱します! 先日、自作室内灯を作りました。 線路から取った電気をブリッジダイオードで整流し、3.3Vの3端子レギュレータを通してLEDにつないでいます。 とりあえず一車両だけ作り、発熱などの問題がないことを確認して全車両作りました。 しかし、安心して走らせていると、低速だったのにもかかわらず「動力車両の3端子レギュレータだけ」が異常に発熱し、車体が見事に溶けてしまいました(泣 その後何度も試験を試しましたが、他の車両はまったく問題はありません。 動力車両の回路も異常は見られませんでした。 なぜこのような現象が現象が起きてしまったのでしょう? また、これを改善するにはどうすればいいですか? 回答お願いします。
- ベストアンサー
- その他(ホビー・玩具)
- maru6611
- 回答数3
- CPLDのクロックは共通なのでしょうか?
CPLDのクロックは共通なのでしょうか? ザイリンクスのCPLDのクロックは1~4ありますけどどこへ入力しても同じなのでしょうか? それともファンクションブロックごとにクロックが別れているとか? 又XC95xxではGCK、XCR30xxではCLK の違いはなんでしょうか?
- avrstudioというソフトを使ってAVRの ATtiny2313と
avrstudioというソフトを使ってAVRの ATtiny2313というマイコンを動かせるようプログラムの勉強をしているのですが・・・最初からつまずいています・・・どなたか教えていただけないでしょうか winavrでC言語を使ってしようと思うのですが avrstudio上で"~A"というように(ここでも→のようになるのでわかりやすいように~としてます・・。googleの検索窓だと半角英数の時にキーボードの”ほ”の横のボタンをシフトと一緒に押すとできるのですが・・)打ちたいのですがキーボードで打つと~Aとなってしまいます・・ それと、ソースがなかなか読めないです・・ tiny2313のPA1とPA0にスイッチがついてます ポートbの0、1,2,3、にはLEDがつながっています while(1){ x=PINA; x=(~A &0b00000011); PORTB = x; } /*xはunsigned charで*/ これはどういう意味なのでしょうか・・while(1)だから無限ループでどうやらボタンを押すと反転??してLEDが永遠につくというものらしいですがボタンの動作をどう読んでいるのかいまいちわかりません>< どなたかお願いします
- ベストアンサー
- その他(プログラミング・開発)
- sandrock-kai
- 回答数1
- FPGA/CPLDについて
FPGA/CPLDをはじめるにあたってい くつか疑問があるので教えて下さい。 質問1 調べてみるとザイリンクスやアルテラが有名どころで ザイリンクスのほうが入手しやすいとの事ですが メーカーによる大きな性能の違いとかはあるんでしょうか? 質問2 CPLDはゲート数が少なく大規模なものは向かないとの事ですが FPGAやCPLDのことがあまりよくわかっていないので ゲート数が少ないっていうのがどういうところで壁になるのかが イメージできません。 PICマイコンを使っていてI/Oピンが足りず困ったことがありましたが イメージとしてはそんな感じでいいんでしょうか? 可能であれば製作例も含め「こんなときに困った」って言うのを 教えてもらえると助かります。 質問3 使用言語ですがVerilogHDLとVHDLがあるようでいろんなサイトを見ると 趣味であればどっちを使うかは問題ではない的なことが 書かれていますが・・・何を基準に決めればいいでしょうか? 質問4 インターネットで調べるとCPLDに関するライターの作り方や個人の方が 運営しているページが沢山見かけますがFPGAに関するページがあまり みつかりません。 FPGAは個人には規模が大きすぎて需要がないんでしょうか? FPGAのライター作成や入門的な参考ページがあったら 教えていただけると助かります。 ↓のページを最近よく見ています。 はんだごてのけむり http://homepage1.nifty.com/buttercup/ 趣味の電子回路工作 http://hobby_elec.piclist.com/menu.htm
- ベストアンサー
- その他(プログラミング・開発)
- midugane
- 回答数1
- PIC12F683でコンフィグレーションビット設定プログラムを入れると、動作できなくなる。
現在PIC12F683マイコンをC言語でCCSコンパイラを使ってプログラムをやっています。 数個マイコンにコンパイラしたプログラムの実行ファイルを書き込んでいくとき、書き込み機にコンフィグレーションビット設定をいちいち撃ち込んでいくのが面倒くさくて、ぐぐっていたら、プログラム上にコンフィグレーションビット設定のプログラムというのがあったので、作業を省略してくれるとのことでやってみたのですが、コンパイルして実行ファイルを書き込むとマイコンが全く動かなくなってしまいました。 /* configuration bits */ #fuses INTRC_IO // _INTRC_IO #fuses WDT // WDT_ON #fuses PUT // Power_UP_TIMER_ON #fuses NOMCLR // MCLR_NO #fuses NOPROTECT // PROTECT_NO #fuses NOCPD // CPD_OFF #fuses BROWNOUT // BROUWOUT_ON #fuses NOIESO // IESO_NO #fuses FCMEN // Monitor clock fail-safe_ON こんプログラムを入れてみたのですが、なぜ、マイコンが全く動かなくなってしまうのでしょうか? 一応main関数の永久ループに行く前に、デバッグとしてprintf文をいれてUART出力で0xFFという文字を出力させているのですが、このコンフィグレーションビット設定プログラムの実行ファイルではこのprintfも出力されていません。
- ベストアンサー
- その他(プログラミング・開発)
- techhouse
- 回答数4
- PIC12F683でコンフィグレーションビット設定プログラムを入れると、動作できなくなる。
現在PIC12F683マイコンをC言語でCCSコンパイラを使ってプログラムをやっています。 数個マイコンにコンパイラしたプログラムの実行ファイルを書き込んでいくとき、書き込み機にコンフィグレーションビット設定をいちいち撃ち込んでいくのが面倒くさくて、ぐぐっていたら、プログラム上にコンフィグレーションビット設定のプログラムというのがあったので、作業を省略してくれるとのことでやってみたのですが、コンパイルして実行ファイルを書き込むとマイコンが全く動かなくなってしまいました。 /* configuration bits */ #fuses INTRC_IO // _INTRC_IO #fuses WDT // WDT_ON #fuses PUT // Power_UP_TIMER_ON #fuses NOMCLR // MCLR_NO #fuses NOPROTECT // PROTECT_NO #fuses NOCPD // CPD_OFF #fuses BROWNOUT // BROUWOUT_ON #fuses NOIESO // IESO_NO #fuses FCMEN // Monitor clock fail-safe_ON こんプログラムを入れてみたのですが、なぜ、マイコンが全く動かなくなってしまうのでしょうか? 一応main関数の永久ループに行く前に、デバッグとしてprintf文をいれてUART出力で0xFFという文字を出力させているのですが、このコンフィグレーションビット設定プログラムの実行ファイルではこのprintfも出力されていません。
- ベストアンサー
- その他(プログラミング・開発)
- techhouse
- 回答数4
- FPGA Lattice xp2 ダウンロードケーブル自作に関して
超入門FPGAという本を買い、それに付属のLattice xp2体験用の基盤を使おうと考えています。 以下のことに関して困っているので是非教えてください。 【1】PCとFPGAをつなぐダウンロードケーブルが高価なため、自作をしようと考えているが、配線のつなぎ方で分らない箇所ががある。 Latticeのダウンロードケーブルの仕様書 http://www.fpga.com.cn/lattice/lattice_cable.pdf この様に作ろうと、添付の画像の様に繋げればいいと 考えているのですが、FPGA側のRESETというのをどこに繋げればいいのかが分らない。 【2】回路中のIC?74vhc244が見つからない。 ネット上で74vhc244を使ってダウンロードケーブルを構成したという 話はよく見るのですが、この説明書に書いてある74vhc244で検索して商品を探しても、同じ名前でも内部の回路が違っていたりして、同等の回路を見つけられません。どの様に入手するのかを是非。 以上の二点に関して答えていただけると幸いです。よろしくお願いします。
- ベストアンサー
- その他(趣味・娯楽・エンターテイメント)
- Duke_Mike
- 回答数2
- FPGA Lattice xp2 ダウンロードケーブル自作に関して
超入門FPGAという本を買い、それに付属のLattice xp2体験用の基盤を使おうと考えています。 以下のことに関して困っているので是非教えてください。 【1】PCとFPGAをつなぐダウンロードケーブルが高価なため、自作をしようと考えているが、配線のつなぎ方で分らない箇所ががある。 Latticeのダウンロードケーブルの仕様書 http://www.fpga.com.cn/lattice/lattice_cable.pdf この様に作ろうと、添付の画像の様に繋げればいいと 考えているのですが、FPGA側のRESETというのをどこに繋げればいいのかが分らない。 【2】回路中のIC?74vhc244が見つからない。 ネット上で74vhc244を使ってダウンロードケーブルを構成したという 話はよく見るのですが、この説明書に書いてある74vhc244で検索して商品を探しても、同じ名前でも内部の回路が違っていたりして、同等の回路を見つけられません。どの様に入手するのかを是非。 以上の二点に関して答えていただけると幸いです。よろしくお願いします。
- ベストアンサー
- その他(趣味・娯楽・エンターテイメント)
- Duke_Mike
- 回答数2
- PIC12F683でコンフィグレーションビット設定プログラムを入れると、動作できなくなる。
現在PIC12F683マイコンをC言語でCCSコンパイラを使ってプログラムをやっています。 数個マイコンにコンパイラしたプログラムの実行ファイルを書き込んでいくとき、書き込み機にコンフィグレーションビット設定をいちいち撃ち込んでいくのが面倒くさくて、ぐぐっていたら、プログラム上にコンフィグレーションビット設定のプログラムというのがあったので、作業を省略してくれるとのことでやってみたのですが、コンパイルして実行ファイルを書き込むとマイコンが全く動かなくなってしまいました。 /* configuration bits */ #fuses INTRC_IO // _INTRC_IO #fuses WDT // WDT_ON #fuses PUT // Power_UP_TIMER_ON #fuses NOMCLR // MCLR_NO #fuses NOPROTECT // PROTECT_NO #fuses NOCPD // CPD_OFF #fuses BROWNOUT // BROUWOUT_ON #fuses NOIESO // IESO_NO #fuses FCMEN // Monitor clock fail-safe_ON こんプログラムを入れてみたのですが、なぜ、マイコンが全く動かなくなってしまうのでしょうか? 一応main関数の永久ループに行く前に、デバッグとしてprintf文をいれてUART出力で0xFFという文字を出力させているのですが、このコンフィグレーションビット設定プログラムの実行ファイルではこのprintfも出力されていません。
- ベストアンサー
- その他(プログラミング・開発)
- techhouse
- 回答数4
- 【FPGA】VHDLのprocessとevent
こんにちは。VHDLのprocessとeventで質問です。 process (CLOCK,RESET) begin if(RESET = '1') then ...(処理) elsif(CLOCK'event and CLOCK = '1') then -- ☆ ...(処理) end if; end process; で書くのは黄金パターンのようですが、 ☆の行を以下のようにevent文を取り払うと、何が違うのでしょうか? elsif(CLOCK = '1') then 諸々の解説を見ると、processは()内の状態が変わったときにendまでのものが同時に処理されるという解説があり、eventはその指定された信号が変わったときにtrueなわけですよね。それでは何故processとeventを併用する必要性があるのでしょうか? シミュレーションをやった感じでは何にも変わり映えしないのですが…厳密に何が起きているか知りたいのです。
- ベストアンサー
- その他([技術者向] コンピューター)
- maruyl
- 回答数1
- GCC Deneroper Lite について教えて
マイコン開発のため、GCC Deveroper Lite ver2.2.0.47をインストールしました。セットアップの方法がわかるサイトはありませんか? また、ビルド、デバッカーのアイコンが表示されないのはどうしてかわかる方お知恵をお貸し下さい。
- ベストアンサー
- その他(プログラミング・開発)
- take3554
- 回答数1
- PIC12F683でコンフィグレーションビット設定プログラムを入れると、動作できなくなる。
現在PIC12F683マイコンをC言語でCCSコンパイラを使ってプログラムをやっています。 数個マイコンにコンパイラしたプログラムの実行ファイルを書き込んでいくとき、書き込み機にコンフィグレーションビット設定をいちいち撃ち込んでいくのが面倒くさくて、ぐぐっていたら、プログラム上にコンフィグレーションビット設定のプログラムというのがあったので、作業を省略してくれるとのことでやってみたのですが、コンパイルして実行ファイルを書き込むとマイコンが全く動かなくなってしまいました。 /* configuration bits */ #fuses INTRC_IO // _INTRC_IO #fuses WDT // WDT_ON #fuses PUT // Power_UP_TIMER_ON #fuses NOMCLR // MCLR_NO #fuses NOPROTECT // PROTECT_NO #fuses NOCPD // CPD_OFF #fuses BROWNOUT // BROUWOUT_ON #fuses NOIESO // IESO_NO #fuses FCMEN // Monitor clock fail-safe_ON こんプログラムを入れてみたのですが、なぜ、マイコンが全く動かなくなってしまうのでしょうか? 一応main関数の永久ループに行く前に、デバッグとしてprintf文をいれてUART出力で0xFFという文字を出力させているのですが、このコンフィグレーションビット設定プログラムの実行ファイルではこのprintfも出力されていません。
- ベストアンサー
- その他(プログラミング・開発)
- techhouse
- 回答数4
- ICが壊れたのでしょうか(PIC)
PIC16F873Aを秋月のライターで書き込もうとするとソケットにICが正しく挿入されていませんのようなコメントが出てしまいます。 ICはボードにはんだ付けしてありケーブルをゼロプレッシャーソケットに接続したオンボードの書き込みをしています。 DIPICをライターのソケットにはめると書き込み可能でライターが壊れているわけではないです。ケーブルも他のボードを使用すると書き込めます。ボードの配線もVpp、PGD、PGC、OSC1、Vssの導通しているので問題はなさそうです。Vddが足りないかなとも思いましたが安定化電源使っても変わりませんでした。 となるとやはりICが壊れたのでしょうか?一番思い当たるのはSOPのICを無理やりユニバーサル基板(1.27mmピッチ:ピン間隔は同じ)にはんだ付けしたことくらいです。熱のかけすぎでしょうか? ICの直付けはロジック等をのぞいては行わないので熱で壊したことはあまりないのですがこういうものなのでしょうか。
- PICとAVRの重さの比較
超軽量の電子回路を設計しています。 重さの軽いほうで選びたいのですが 初心者でデータシート等の見方がよくわかりません。 PICとAVRは軽さではどちらか軽量なんでしょうか? よろしくお願いします。
- 締切済み
- その他([技術者向] コンピューター)
- kajiyan22
- 回答数3
- PIC LFタイプの動作電圧は?
PICの低電圧動作タイプ(LF)の動作電圧範囲はどのくらいでしょうか?通常タイプ同様クロック周波数に影響するのでしょうか? データシートに書いてあるらしいのですがどの辺に書いてあるのでしょうか。(PICは16LF873Aです) よろしくお願いします。
- avr と pic の利点
こんにちは マイコンの勉強を始めようかと考えています。 そこで、実際に動かしてみようと思いますが、 picかavrで迷っています。 昔のz8?とかでもいいのかどうか・・・ そこらへんお詳しい方がいたらどうかご指導お願いします。 回路とかは少しは分かります。 pic,avrを勉強するにあたりメリット・デメリットを分かりやすく教えて頂けるとうれしいです。 工業高校卒です。 回路は少しは分かります。 あと何を作ってみればいいのか・・・ その辺は本でもネットでも調べてみます。
- 締切済み
- その他(プログラミング・開発)
- IPS
- 回答数1
- H8マイコンの選定に関して
H8初心者で、評価用ボードしか利用したことがありません。 今回H8で本格的に作りこんでみたいと思いH8を買おうと思ったのですがいろいろ種類があって選定ができません。 H8マイコンを選定する上で条件があるのですが、以下を満たしている必要があります。 ・UARTを搭載している。 ・ADを利用したい。 ・ADをROMかなにかに書き溜めたい。 ・タイマー、もしくは内部時計を利用したい。 この条件でできるだけハードの改造なしで行いたいのですがなにかよいものはないでしょうか? もしくは、これらを実現するのに参考となる書籍などを教えて頂けないでしょうか? お手数ですが何卒ご教授くださるようお願いします。
- ベストアンサー
- その他(プログラミング・開発)
- fallen4487
- 回答数1
- PIC EEPROM
PICでLEDのデータをEEPROMに書き込んで電源を切ってもデータを保存させ、再度電源オンの時は電源を切る前のデータで点滅させたいのですが、EEPROMって書き込みと読み出しってありますよね? この場合は、LEDデータの書き込みのみで大丈夫でしょうか?
- 締切済み
- その他(車・バイク・自転車)
- ledgarage
- 回答数3
- 1
- 2